summaryrefslogtreecommitdiff
path: root/src/arch/arm/v7/opdefs/asr_A8816.d
blob: 7c1fda6bac1ff9911a9a5d8017901578b0b6e0f6 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
28
29
30
31
32
33
34
35
36
37
38
39
40
41
42
43
44
45
46
47
48
49
50
51
52
53
54
55
56
57
58
59
60
61
62
63
64
65
66
67
68
69
70
71
72
73
74
75
76
77
78
79
80
81
82
83
84
85
86
87
88
89
90
91
92
93

/* Chrysalide - Outil d'analyse de fichiers binaires
 * ##FILE## - traduction d'instructions ARMv7
 *
 * Copyright (C) 2014 Cyrille Bagard
 *
 *  This file is part of Chrysalide.
 *
 *  Chrysalide is free software; you can redistribute it and/or modify
 *  it under the terms of the GNU General Public License as published by
 *  the Free Software Foundation; either version 3 of the License, or
 *  (at your option) any later version.
 *
 *  Chrysalide is distributed in the hope that it will be useful,
 *  but WITHOUT ANY WARRANTY; without even the implied warranty of
 *  MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
 *  GNU General Public License for more details.
 *
 *  You should have received a copy of the GNU General Public License
 *  along with Foobar.  If not, see <http://www.gnu.org/licenses/>.
 */


@title ASR (immediate)

@encoding(t1) {

    @half 0 0 0 1 0 imm5(5) Rm(3) Rd(3)

    @syntax <Rd> <Rm> <#imm>

    @conv {

        Rd = Register(Rd)
        Rm = Register(Rm)
        imm = FixedShift(2, imm5)

    }

    @rules {

        //setflags = !InITBlock();

    }

}

@encoding(T2) {

    @word 1 1 1 0 1 0 1 0 0 1 0 S(1) 1 1 1 1 0 imm3(3) Rd(4) imm2(2) 1 0 Rm(4)

    @syntax {S} ".W" <Rd> <Rm> <#imm>

    @conv {

        S = SetFlags(S)
        Rd = Register(Rd)
        Rm = Register(Rm)
        imm = FixedShift(2, imm3:imm2)

    }

    @rules {

        //if d IN {13,15} || m IN {13,15} then UNPREDICTABLE;

    }

}

@encoding(A1) {

    @word cond(4) 0 0 0 1 1 0 1 S(1) 0 0 0 0 Rd(4) imm5(5) 1 0 0 Rm(4)

    @syntax {S} {c} <Rd> <Rm> <#imm>

    @conv {

        S = SetFlags(S)
        c = Condition(cond)
        Rd = Register(Rd)
        Rm = Register(Rm)
        imm = FixedShift(2, imm5)

    }

    @rules {

        //if Rd == '1111' && S == '1' then SEE SUBS PC, LR and related instructions;

    }

}